vhdl-upper-case-types

vhdl-upper-case-types is a variable defined in `vhdl-mode.el'.
Its value is
nil


Documentation:
Non-nil means convert standardized types to upper case.
This is done when expanded or by the fix case functions.

You can customize this variable.