vhdl-upper-case-keywords

vhdl-upper-case-keywords is a variable defined in `vhdl-mode.el'.
Its value is
nil


Documentation:
Non-nil means convert keywords to upper case.
This is done when typed or expanded or by the fix case functions.

You can customize this variable.