vhdl-upper-case-constants

vhdl-upper-case-constants is a variable defined in `vhdl-mode.el'.
Its value is
t


Documentation:
Non-nil means convert standardized constants to upper case.
This is done when expanded.

You can customize this variable.