vhdl-upper-case-attributes

vhdl-upper-case-attributes is a variable defined in `vhdl-mode.el'.
Its value is
nil


Documentation:
Non-nil means convert standardized attributes to upper case.
This is done when expanded or by the fix case functions.

You can customize this variable.