vhdl-include-group-comments

vhdl-include-group-comments is a variable defined in `vhdl-mode.el'.
Its value is
never


Documentation:
Specifies whether to include group comments and spacings.
The comments and empty lines between groups of ports are pasted:
Never : never
Declarations: in entity/component/constant/signal declarations only
Always : also in generic/port maps

You can customize this variable.