vhdl-highlight-verilog-keywords

vhdl-highlight-verilog-keywords is a variable defined in `vhdl-mode.el'.
Its value is
nil


Documentation:
Non-nil means highlight Verilog keywords as reserved words.
Verilog keywords are highlighted in a warning color (face
`vhdl-font-lock-reserved-words-face') to indicate not to use them.

NOTE: Activate the new setting in a VHDL buffer by re-fontifying it (menu
entry "Fontify Buffer").

You can customize this variable.