vhdl-functions-regexp

vhdl-functions-regexp is a variable defined in `vhdl-mode.el'.
Its value is

"\\<\\(\\(?:conv_\\(?:integer\\|s\\(?:igned\\|td_logic_vector\\)\\|unsigned\\)\\|deallocate\\|e\\(?:ndfile\\|xt\\)\\|falling_edge\\|h\\(?:read\\|write\\)\\|is_X\\|now\\|o\\(?:read\\|write\\)\\|r\\(?:e\\(?:ad\\(?:line\\)?\\|s\\(?:ize\\|olved\\)\\)\\|ising_edge\\|otate_\\(?:\\(?:lef\\|righ\\)t\\)\\)\\|s\\(?:h\\(?:ift_\\(?:\\(?:lef\\|righ\\)t\\)\\|[lr]\\)\\|td_match\\|xt\\)\\|to_\\(?:01\\|UX01\\|X01Z?\\|bit\\(?:Vector\\)?\\|integer\\|s\\(?:igned\\|td\\(?:LogicVector\\|ULogic\\(?:Vector\\)?\\)\\)\\|unsigned\\)\\|write\\(?:line\\)?\\)\\)\\>"


Documentation:
Regexp for VHDL standardized functions.