vhdl-expand-upper-case

vhdl-expand-upper-case is a variable defined in `vhdl-mode.el'.
Its value is
nil


Documentation:
Not documented as a variable.