vhdl-doc-keywords

vhdl-doc-keywords is a variable defined in `vhdl-mode.el'.
Its value is
nil

  • This variable may be risky if used as a file-local variable.

Documentation:
Reserved words in VHDL
----------------------

VHDL'93/02 (IEEE Std 1076-1993/2002):
`vhdl-02-keywords' : keywords
`vhdl-02-types' : standardized types
`vhdl-02-attributes' : standardized attributes
`vhdl-02-enum-values' : standardized enumeration values
`vhdl-02-functions' : standardized functions
`vhdl-02-packages' : standardized packages and libraries

VHDL-AMS (IEEE Std 1076.1 / 1076.1.1):
`vhdl-ams-keywords' : keywords
`vhdl-ams-types' : standardized types
`vhdl-ams-attributes' : standardized attributes
`vhdl-ams-enum-values' : standardized enumeration values
`vhdl-ams-constants' : standardized constants
`vhdl-ams-functions' : standardized functions

Math Packages (IEEE Std 1076.2):
`vhdl-math-types' : standardized types
`vhdl-math-constants' : standardized constants
`vhdl-math-functions' : standardized functions
`vhdl-math-packages' : standardized packages

Forbidden words:
`vhdl-verilog-keywords' : Verilog reserved words

NOTE: click `mouse-2' on variable names above (not in XEmacs).