verilog-stmt-menu

verilog-stmt-menu is a variable defined in `verilog-mode.el'.
Its value is shown below.

Documentation:
Menu for statement templates in Verilog.

Value:

(keymap "Statements" (Header menu-item "Header" verilog-sk-header :help "Insert a header block at the top of file") (Comment menu-item "Comment" verilog-sk-comment :help "Insert a comment block") (nil menu-item "----") (Module menu-item "Module" verilog-sk-module :help "Insert a module .. (/*AUTOARG*/);.. endmodule block") (OVM\ Class menu-item "OVM Class" verilog-sk-ovm-class :help "Insert an OVM class block") (UVM\ Object menu-item "UVM Object" verilog-sk-uvm-object :help "Insert an UVM object block") (UVM\ Component menu-item "UVM Component" verilog-sk-uvm-component :help "Insert an UVM component block") (Primitive menu-item "Primitive" verilog-sk-primitive :help "Insert a primitive .. (.. );.. endprimitive block") (nil-8 menu-item "----") (Input menu-item "Input" verilog-sk-input :help "Insert an input declaration") (Output menu-item "Output" verilog-sk-output :help "Insert an output declaration") (Inout menu-item "Inout" verilog-sk-inout :help "Insert an inout declaration") (Wire menu-item "Wire" verilog-sk-wire :help "Insert a wire declaration") (Reg menu-item "Reg" verilog-sk-reg :help "Insert a register declaration") (Define\ thing\ under\ point\ as\ a\ register menu-item "Define thing under point as a register" verilog-sk-define-signal :help "Define signal under point as a register at the top of the module") (nil-15 menu-item "----") (Initial menu-item "Initial" verilog-sk-initial :help "Insert an initial begin .. end block") (Always menu-item "Always" verilog-sk-always :help "Insert an always @(AS) begin .. end block") (Function menu-item "Function" verilog-sk-function :help "Insert a function .. begin .. end endfunction block") (Task menu-item "Task" verilog-sk-task :help "Insert a task .. begin .. end endtask block") (Specify menu-item "Specify" verilog-sk-specify :help "Insert a specify .. endspecify block") (Generate menu-item "Generate" verilog-sk-generate :help "Insert a generate .. endgenerate block") (nil-22 menu-item "----") (Begin menu-item "Begin" verilog-sk-begin :help "Insert a begin .. end block") (If menu-item "If" verilog-sk-if :help "Insert an if (..) begin .. end block") (\(if\)\ else menu-item "(if) else" verilog-sk-else-if :help "Insert an else if (..) begin .. end block") (For menu-item "For" verilog-sk-for :help "Insert a for (...) begin .. end block") (While menu-item "While" verilog-sk-while :help "Insert a while (...) begin .. end block") (Fork menu-item "Fork" verilog-sk-fork :help "Insert a fork begin .. end .. join block") (Repeat menu-item "Repeat" verilog-sk-repeat :help "Insert a repeat (..) begin .. end block") (Case menu-item "Case" verilog-sk-case :help "Insert a case block, prompting for details") (Casex menu-item "Casex" verilog-sk-casex :help "Insert a casex (...) item: begin.. end endcase block") (Casez menu-item "Casez" verilog-sk-casez :help "Insert a casez (...) item: begin.. end endcase block"))