verilog-separator-keywords

verilog-separator-keywords is a variable defined in `verilog-mode.el'.
Its value is
("else" "then" "begin")


Documentation:
Keywords to complete when NOT standing at the first word of a statement.
(else, then, begin...)
Variables and function names defined within the Verilog program
will be completed at runtime and should not be added to this list.