verilog-preprocessor

verilog-preprocessor is a variable defined in `verilog-mode.el'.
Its value is
"vppreproc __FLAGS__ __FILE__"


Documentation:
Program and arguments to use to preprocess Verilog source.
This is invoked with `verilog-preprocess', and depending on the
`verilog-set-compile-command', may also be invoked when you type
M-x compile. When the compile completes, C-x ` will
take you to the next lint error.

You can customize this variable.