verilog-menu

verilog-menu is a variable defined in `verilog-mode.el'.
Its value is shown below.

Documentation:
Menu for Verilog mode

Value:

(keymap "Verilog" (Choose\ Compilation\ Action menu-item "Choose Compilation Action" (keymap "Choose Compilation Action" (None menu-item "None" menu-function-0 :key-sequence nil :button (:radio equal verilog-tool nil) :help "When invoking compilation, use compile-command") (Lint menu-item "Lint" menu-function-1 :key-sequence nil :button (:radio equal verilog-tool `verilog-linter) :help "When invoking compilation, use lint checker") (Coverage menu-item "Coverage" menu-function-2 :key-sequence nil :button (:radio equal verilog-tool `verilog-coverage) :help "When invoking compilation, annotate for coverage") (Simulator menu-item "Simulator" menu-function-3 :key-sequence nil :button (:radio equal verilog-tool `verilog-simulator) :help "When invoking compilation, interpret Verilog source") (Compiler menu-item "Compiler" menu-function-4 :key-sequence nil :button (:radio equal verilog-tool `verilog-compiler) :help "When invoking compilation, compile Verilog source") (Preprocessor menu-item "Preprocessor" menu-function-5 :key-sequence nil :button (:radio equal verilog-tool `verilog-preprocessor) :help "When invoking compilation, preprocess Verilog source, see also `verilog-preprocess'"))) (Move menu-item "Move" (keymap "Move" (Beginning\ of\ function menu-item "Beginning of function" verilog-beg-of-defun :keys "C-M-a" :help "Move backward to the beginning of the current function or procedure") (End\ of\ function menu-item "End of function" verilog-end-of-defun :keys "C-M-e" :help "Move forward to the end of the current function or procedure") (Mark\ function menu-item "Mark function" verilog-mark-defun :keys "C-M-h" :help "Mark the current Verilog function or procedure") (Goto\ function/module menu-item "Goto function/module" verilog-goto-defun :help "Move to specified Verilog module/task/function") (Move\ to\ beginning\ of\ block menu-item "Move to beginning of block" electric-verilog-backward-sexp :help "Move backward over one balanced expression") (Move\ to\ end\ of\ block menu-item "Move to end of block" electric-verilog-forward-sexp :help "Move forward over one balanced expression"))) (Comments menu-item "Comments" (keymap "Comments" (Comment\ Region menu-item "Comment Region" verilog-comment-region :help "Put marked area into a comment") (UnComment\ Region menu-item "UnComment Region" verilog-uncomment-region :help "Uncomment an area commented with Comment Region") (Multi-line\ comment\ insert menu-item "Multi-line comment insert" verilog-star-comment :help "Insert Verilog /* */ comment at point") (Lint\ error\ to\ comment menu-item "Lint error to comment" verilog-lint-off :help "Convert a Verilog linter warning line into a disable statement"))) (nil menu-item "----") (Compile menu-item "Compile" compile :help "Perform compilation-action (above) on the current buffer") (AUTO\,\ Save\,\ Compile menu-item "AUTO, Save, Compile" verilog-auto-save-compile :help "Recompute AUTOs, save buffer, and compile") (Next\ Compile\ Error menu-item "Next Compile Error" next-error :help "Visit next compilation error message and corresponding source code") (Ignore\ Lint\ Warning\ at\ point menu-item "Ignore Lint Warning at point" verilog-lint-off :help "Convert a Verilog linter warning line into a disable statement") (nil-8 menu-item "----") (Line\ up\ declarations\ around\ point menu-item "Line up declarations around point" verilog-pretty-declarations :help "Line up declarations around point") (Line\ up\ equations\ around\ point menu-item "Line up equations around point" verilog-pretty-expr :help "Line up expressions around point") (Redo/insert\ comments\ on\ every\ end menu-item "Redo/insert comments on every end" verilog-label-be :help "Label matching begin ... end statements") (Expand\ \[x:y\]\ vector\ line menu-item "Expand [x:y] vector line" verilog-expand-vector :help "Take a signal vector on the current line and expand it to multiple lines") (Insert\ begin-end\ block menu-item "Insert begin-end block" verilog-insert-block :help "Insert begin ... end") (Complete\ word menu-item "Complete word" verilog-complete-word :help "Complete word at point") (nil-15 menu-item "----") (Recompute\ AUTOs menu-item "Recompute AUTOs" verilog-auto :help "Expand AUTO meta-comment statements") (Kill\ AUTOs menu-item "Kill AUTOs" verilog-delete-auto :help "Remove AUTO expansions") (Diff\ AUTOs menu-item "Diff AUTOs" verilog-diff-auto :help "Show differences in AUTO expansions") (Inject\ AUTOs menu-item "Inject AUTOs" verilog-inject-auto :help "Inject AUTOs into legacy non-AUTO buffer") (AUTO\ Help\.\.\. menu-item "AUTO Help..." (keymap "AUTO Help..." (AUTO\ General menu-item "AUTO General" menu-function-6 :key-sequence nil :help "Help introduction on AUTOs") (AUTO\ Library\ Flags menu-item "AUTO Library Flags" menu-function-7 :key-sequence nil :help "Help on verilog-library-flags") (AUTO\ Library\ Path menu-item "AUTO Library Path" menu-function-8 :key-sequence nil :help "Help on verilog-library-directories") (AUTO\ Library\ Files menu-item "AUTO Library Files" menu-function-9 :key-sequence nil :help "Help on verilog-library-files") (AUTO\ Library\ Extensions menu-item "AUTO Library Extensions" menu-function-10 :key-sequence nil :help "Help on verilog-library-extensions") (AUTO\ \`define\ Reading menu-item "AUTO `define Reading" menu-function-11 :key-sequence nil :help "Help on reading `defines") (AUTO\ \`include\ Reading menu-item "AUTO `include Reading" menu-function-12 :key-sequence nil :help "Help on parsing `includes") (AUTOARG menu-item "AUTOARG" menu-function-13 :key-sequence nil :help "Help on AUTOARG - declaring module port list") (AUTOASCIIENUM menu-item "AUTOASCIIENUM" menu-function-14 :key-sequence nil :help "Help on AUTOASCIIENUM - creating ASCII for enumerations") (AUTOASSIGNMODPORT menu-item "AUTOASSIGNMODPORT" menu-function-15 :key-sequence nil :help "Help on AUTOASSIGNMODPORT - creating assignments to/from modports") (AUTOINOUT menu-item "AUTOINOUT" menu-function-16 :key-sequence nil :help "Help on AUTOINOUT - adding inouts from cells") (AUTOINOUTCOMP menu-item "AUTOINOUTCOMP" menu-function-17 :key-sequence nil :help "Help on AUTOINOUTCOMP - copying complemented i/o from another file") (AUTOINOUTIN menu-item "AUTOINOUTIN" menu-function-18 :key-sequence nil :help "Help on AUTOINOUTIN - copying i/o from another file as all inputs") (AUTOINOUTMODPORT menu-item "AUTOINOUTMODPORT" menu-function-19 :key-sequence nil :help "Help on AUTOINOUTMODPORT - copying i/o from an interface modport") (AUTOINOUTMODULE menu-item "AUTOINOUTMODULE" menu-function-20 :key-sequence nil :help "Help on AUTOINOUTMODULE - copying i/o from another file") (AUTOINOUTPARAM menu-item "AUTOINOUTPARAM" menu-function-21 :key-sequence nil :help "Help on AUTOINOUTPARAM - copying parameters from another file") (AUTOINPUT menu-item "AUTOINPUT" menu-function-22 :key-sequence nil :help "Help on AUTOINPUT - adding inputs from cells") (AUTOINSERTLISP menu-item "AUTOINSERTLISP" menu-function-23 :key-sequence nil :help "Help on AUTOINSERTLISP - insert text from a lisp function") (AUTOINSERTLAST menu-item "AUTOINSERTLAST" menu-function-24 :key-sequence nil :help "Help on AUTOINSERTLISPLAST - insert text from a lisp function") (AUTOINST menu-item "AUTOINST" menu-function-25 :key-sequence nil :help "Help on AUTOINST - adding pins for cells") (AUTOINST\ \(\.*\) menu-item "AUTOINST (.*)" menu-function-26 :key-sequence nil :help "Help on expanding Verilog-2001 .* pins") (AUTOINSTPARAM menu-item "AUTOINSTPARAM" menu-function-27 :key-sequence nil :help "Help on AUTOINSTPARAM - adding parameter pins to cells") (AUTOLOGIC menu-item "AUTOLOGIC" menu-function-28 :key-sequence nil :help "Help on AUTOLOGIC - declaring logic signals") (AUTOOUTPUT menu-item "AUTOOUTPUT" menu-function-29 :key-sequence nil :help "Help on AUTOOUTPUT - adding outputs from cells") (AUTOOUTPUTEVERY menu-item "AUTOOUTPUTEVERY" menu-function-30 :key-sequence nil :help "Help on AUTOOUTPUTEVERY - adding outputs of all signals") (AUTOREG menu-item "AUTOREG" menu-function-31 :key-sequence nil :help "Help on AUTOREG - declaring registers for non-wires") (AUTOREGINPUT menu-item "AUTOREGINPUT" menu-function-32 :key-sequence nil :help "Help on AUTOREGINPUT - declaring inputs for non-wires") (AUTORESET menu-item "AUTORESET" menu-function-33 :key-sequence nil :help "Help on AUTORESET - resetting always blocks") (AUTOSENSE\ or\ AS menu-item "AUTOSENSE or AS" menu-function-34 :key-sequence nil :help "Help on AUTOSENSE - sensitivity lists for always blocks") (AUTOTIEOFF menu-item "AUTOTIEOFF" menu-function-35 :key-sequence nil :help "Help on AUTOTIEOFF - tying off unused outputs") (AUTOUNDEF menu-item "AUTOUNDEF" menu-function-36 :key-sequence nil :help "Help on AUTOUNDEF - undefine all local defines") (AUTOUNUSED menu-item "AUTOUNUSED" menu-function-37 :key-sequence nil :help "Help on AUTOUNUSED - terminating unused inputs") (AUTOWIRE menu-item "AUTOWIRE" menu-function-38 :key-sequence nil :help "Help on AUTOWIRE - declaring wires for cells"))) (nil-21 menu-item "----") (Submit\ bug\ report menu-item "Submit bug report" verilog-submit-bug-report :help "Submit via mail a bug report on verilog-mode.el") (Version\ and\ FAQ menu-item "Version and FAQ" verilog-faq :help "Show the current version, and where to get the FAQ etc") (Customize\ Verilog\ Mode\.\.\. menu-item "Customize Verilog Mode..." verilog-customize :help "Customize variables and other settings used by Verilog-Mode") (Customize\ Verilog\ Fonts\ &\ Colors menu-item "Customize Verilog Fonts & Colors" verilog-font-customize :help "Customize fonts used by Verilog-Mode."))