verilog-gate-keywords

verilog-gate-keywords is a variable defined in `verilog-mode.el'.
Its value is

("and" "buf" "bufif0" "bufif1" "cmos" "nand" "nmos" "nor" "not" "notif0" "notif1" "or" "pmos" "pulldown" "pullup" "rcmos" "rnmos" "rpmos" "rtran" "rtranif0" "rtranif1" "tran" "tranif0" "tranif1" "xnor" "xor")


Documentation:
Keywords for gate primitives.