verilog-end-defun-re

verilog-end-defun-re is a variable defined in `verilog-mode.el'.
Its value is

"\\<\\(end\\(?:c\\(?:lass\\|onfig\\)\\|interface\\|module\\|p\\(?:ackage\\|r\\(?:imitive\\|ogram\\)\\)\\)\\)\\>"

  • This variable may be risky if used as a file-local variable.

Documentation:
Not documented as a variable.