verilog-defun-level-re

verilog-defun-level-re is a variable defined in `verilog-mode.el'.
Its value is

"\\<\\(always\\(?:_\\(?:comb\\|ff\\|latch\\)\\)?\\|c\\(?:lass\\|onfig\\)\\|end\\(?:function\\|task\\)\\|final\\|in\\(?:itial\\|terface\\)\\|m\\(?:\\(?:acrom\\)?odule\\)\\|p\\(?:ackage\\|r\\(?:imitive\\|ogram\\)\\)\\)\\>"

  • This variable may be risky if used as a file-local variable.

Documentation:
Not documented as a variable.