verilog-debug

verilog-debug is a variable defined in `verilog-mode.el'.
Its value is
nil


Documentation:
Non-nil means enable debug messages for `verilog-mode' internals.