verilog-block-keywords

verilog-block-keywords is a variable defined in `verilog-mode.el'.
Its value is

("begin" "break" "case" "continue" "else" "end" "endfunction" "endgenerate" "endinterface" "endpackage" "endspecify" "endtask" "for" "fork" "if" "join" "join_any" "join_none" "repeat" "return" "while")


Documentation:
Keywords to complete when at first word of a line in behavioral scope.
(begin, if, then, else, for, fork...)
The procedures and variables defined within the Verilog program
will be completed at runtime and should not be added to this list.