vhdl-upcase-list

vhdl-upcase-list is a compiled Lisp function in `vhdl-mode.el'.

(vhdl-upcase-list CONDITION LIST)

Upcase all elements in LIST based on CONDITION.