vhdl-template-while-loop

vhdl-template-while-loop is an interactive compiled Lisp function in `vhdl-mode.el'.


(vhdl-template-while-loop)

Insert a while loop.