vhdl-template-port-list

vhdl-template-port-list is a compiled Lisp function in `vhdl-mode.el'.

(vhdl-template-port-list OPTIONAL)

Read from user a port spec argument list.