vhdl-template-for-loop

vhdl-template-for-loop is an interactive compiled Lisp function in `vhdl-mode.el'.


(vhdl-template-for-loop)

Insert a for loop.