vhdl-resolve-paths

vhdl-resolve-paths is a compiled Lisp function in `vhdl-mode.el'.

(vhdl-resolve-paths PATH-LIST)

Resolve path wildcards in PATH-LIST.