vhdl-port-paste-instance

vhdl-port-paste-instance is an interactive compiled Lisp function in `vhdl-mode.el'.


(vhdl-port-paste-instance &optional NAME NO-INDENT TITLE)

Paste as an instantiation.