vhdl-port-paste-entity

vhdl-port-paste-entity is an interactive compiled Lisp function in `vhdl-mode.el'.


(vhdl-port-paste-entity &optional NO-INDENT)

Paste as an entity declaration.