vhdl-port-paste-component

vhdl-port-paste-component is an interactive compiled Lisp function in `vhdl-mode.el'.


(vhdl-port-paste-component &optional NO-INDENT)

Paste as a component declaration.