vhdl-port-copy

vhdl-port-copy is an interactive compiled Lisp function in `vhdl-mode.el'.


(vhdl-port-copy)

Get generic and port information from an entity or component declaration.