vhdl-parse-group-comment

vhdl-parse-group-comment is a compiled Lisp function in `vhdl-mode.el'.

(vhdl-parse-group-comment)

Parse comment and empty lines between groups of lines.