vhdl-indent-line

vhdl-indent-line is an interactive compiled Lisp function in `vhdl-mode.el'.


(vhdl-indent-line)

Indent the current line as VHDL code. Returns the amount of
indentation change.