vhdl-fixup-whitespace-region

vhdl-fixup-whitespace-region is an interactive compiled Lisp function in `vhdl-mode.el'.


(vhdl-fixup-whitespace-region BEG END &optional NO-MESSAGE)

Fixup whitespace in region. Surround operator symbols by one space,
eliminate multiple spaces (except at beginning of line), eliminate spaces at
end of line, do nothing in comments and strings.