vhdl-fix-case-region

vhdl-fix-case-region is an interactive compiled Lisp function in `vhdl-mode.el'.


(vhdl-fix-case-region BEG END &optional ARG)

Convert all VHDL words in region to lower or upper case, depending on
options vhdl-upper-case-{keywords,types,attributes,enum-values}.