vhdl-end-p

vhdl-end-p is a compiled Lisp function in `vhdl-mode.el'.

(vhdl-end-p &optional LIM)

Return t if we are looking at a real "end" keyword.
Assumes that the caller will make sure that we are looking at
vhdl-end-fwd-re, and are not inside a literal, and that we are not in
the middle of an identifier that just happens to contain an "end"
keyword.