vhdl-align-group

vhdl-align-group is an interactive compiled Lisp function in `vhdl-mode.el'.


(vhdl-align-group &optional SPACING)

Align group of lines between empty lines.