verilog-string-match-fold

verilog-string-match-fold is a compiled Lisp function in `verilog-mode.el'.

(verilog-string-match-fold REGEXP STRING &optional START)

Like `string-match', but use `verilog-case-fold'.
Return index of start of first match for REGEXP in STRING, or nil.
Matching ignores case if `verilog-case-fold' is non-nil.
If third arg START is non-nil, start search at that index in STRING.