verilog-clog2

verilog-clog2 is a compiled Lisp function in `verilog-mode.el'.

(verilog-clog2 VALUE)

Compute $clog2 - ceiling log2 of VALUE.